晶圆代工,战火蔓延|半导体市场逐渐复苏
发布日期:2024-06-25 16:30

长期以来,在摩尔定律的驱动下,晶圆代工厂一直紧追芯片制程工艺一路向前。时至今日,这场决赛的最后仅剩台积电、三星和英特尔,在先进制程节点展开肉搏。


近年来,在人工智能、移动和高性能计算应用的驱动下,半导体市场逐渐复苏,市场对于先进制程产能的需求非常旺盛。据数据预测,全球芯片制造产能中,10nm以下制程占比将会大幅提升,将由2021年的16%上升至2024年近30%。


另一方面,瞄准先进制程的几大巨头间的竞争也十分激烈,都意在通过展示综合实力获得更多市场份额。


在这场晶圆代工行业的反击和保卫战中,台积电、三星和英特尔都在不断创新,争夺制程技术的领先地位。台积电会继续“封神”吗?多面出击的三星和英特尔,又将夺得几杯羹?


台积电披露工艺路线与前景展望


作为半导体行业的领导者,台积电在过去的30多年中立下赫赫战功,成为世界第一大芯片代工企业。


在半导体科技的快速演进中,台积电一直是全球先进制程技术的引领者。


台积电工艺路线图披露


近期,台积电又宣布了一系列雄心勃勃的工艺路线图更新,预示着半导体制造即将迈入一个前所未有的时代——Ångström级工艺节点到来。


根据其工艺路线图显示,在2025年至2026年间,台积电即将推出的几项关键工艺技术,包括N3X、N2、N2P,以及革命性的A16工艺,揭示它们如何推动技术边界,以及这些进步对电子产品性能、能耗和未来技术发展的影响。


台积电工艺路线图


N3P:作为N3工艺的增强版,N3P在性能、功耗和密度方面进一步优化,为客户提供更多选择。


N3X:面向极致性能的3纳米级工艺,通过降低电压至0.9V,在相同频率下能实现7%的功耗降低,同时在相同面积下提升5%的性能或增加约10%的晶体管密度。


N2:台积电首个采用全栅(GAA)纳米片晶体管技术的节点,GAA晶体管通过环绕沟道四周的栅极提高了对电流的控制能力,从而显著提升PPA特性,相较于N3E有明显进步,N2可使功耗降低25%-30%,性能提升10%-15%,晶体管密度增加15%。


N2P:N2的性能增强版本,进一步优化功耗和性能,在相同晶体管数量和频率下,N2P预计能降低5%-10%的功耗,同时提升5%-10%的性能。适合对这两方面都有较高要求的应用。


A16:台积电的A16工艺首次引入背面供电网络技术(BSPDN),这一创新直接将电源供应集成到晶体管的背面,极大地提升了电力传输效率和晶体管密度;同时结合GAAFET纳米片晶体管,目标是在性能和能效上有显著提升。A16将成为首个“埃级”工艺节点,标志着半导体制造进入一个新的时代。



与N2P相比,A16在相同电压和复杂度下,预计性能提升8%-10%,功耗降低15%-20%,芯片密度提升了1.1倍。这一技术的引入,将为高性能计算产品,尤其是那些对能源效率和信号路径有极高要求的应用,开启新的可能性。


综合来看,台积电这一系列工艺技术创新不仅展示了其在半导体制造领域的持续领导力,更为未来电子产品的性能升级、能源效率提升以及更广泛的技术革新奠定了坚实基础。尤其是随着“埃”级工艺节点的到来,半导体行业正步入一个充满挑战与机遇的新时代。


另外,据了解台积电的A16制程不依赖于最新的High-NA EUV技术,这使得成本更具竞争力,也符合了当前AI芯片公司对设计最佳化的迫切需求。


还值得关注的是,台积电整个N2系列将增加全新的NanoFlex功能,该功能允许芯片设计人员在同一块设计中混合和匹配来自不同库的单元,以优化芯片的性能、功率和面积 (PPA)。



相较于台积电的N3制造工艺已经支持类似功能的FinFlex,由于N2依赖于GAAFET晶体管,因此NanoFlex能为台积电提供了一些额外的控制:比如台积电可以优化通道宽度以提高性能和功率,然后构建短单元(以提高面积和功率效率)或高单元(以提高15%的性能)。


时间方面,台积电N2工艺将于2025年进入风险生产,并于2025年下半年进入大批量生产;性能增强型N2P和电压增强型N2X将于2026年问世;A16先进制程预计将于2026年下半年推出。


台积电市场展望:AI需求强劲,车用与工控疲软


从市场研究机构TrendForce集邦咨询近日公布的2024年第一季全球前十大晶圆代工企业营收排名来看,尽管AI相关HPC需求相当强劲,TSMC第一季仍受到智能手机、NB等消费性备货淡季,营收季减约4.1%,收敛至188.5亿美元,由于其他竞业同样面临消费淡季挑战,因此市占维持在61.7%。第二季随着主要客户Apple进入备货周期,及AI服务器相关HPC芯片需求持续稳健,有机会带动营收呈个位数季成长率走势。



另有数据显示,2024年前五个月,台积电的营收同比增长27%,远超行业平均水平,这表明台积电的市场需求依然强劲。


目前台积电占据着全球代工市场61%的市场份额,远超排名第二的三星的11%。这种市场主导地位使得台积电在客户中具有很高的吸引力,许多顶尖的芯片制造商,如Nvidia、AMD、苹果和高通等,都是其长期合作伙伴。


随着AI技术迅速发展,AI芯片需求呈现爆炸式增长。台积电欧亚业务资深副总暨副共同营运长侯永清指出,今年AI需求非常的强劲,手机跟PC业务也已经开始缓慢复苏中,但是车用与工控需求仍稍微疲软。


从数据来看,与去年相比,今年AI加速器增长大约2.5倍;PC市场今年会有1-3%增长;手机市场在经历两年衰退后今年会增长1-3%;车用芯片市场今年需求疲软,业绩预估衰退1-3%;IoT预估增长7-9%,但相较过往年增幅20%是呈现下滑。


花旗指出,大多数AI GPU目前使用4/5/7纳米工艺,随着AI PC和智能手机的需求增长,叠加芯片制造技术的逐渐成熟,预计其中的大多数将在2025年底前迁移到3纳米工艺。而作为先进半导体技术的领头羊,台积电预计将在2025年获得更多3纳米芯片订单,特别是来自苹果、高通和联发科等客户。届时,台积电3纳米工艺的利用率将保持紧张状态。


台积电此前也表示,预计2024年的资本支出在280亿至320亿美元之间,预计2025年可能增至350亿至400亿美元,这些巨额预算主要用于2/3纳米工艺的研发和生产。


台积电通过持续的技术创新和战略投资,已经建立了坚实的市场基础。


全球扩建产能,先进工艺留在台湾


台积电在中国台湾生产了世界上大多数最先进的处理器,但近年来正在改变战略,在将制造业务留在台湾的同时,并在美国建立晶圆厂生产先进芯片,在日本生产相当先进的处理器,并在欧洲生产专用芯片。


据了解,台积电自2022年到2023年新建了五座工厂,今年在建有七座工厂,其中三个是晶圆厂、两个是封装厂,还有两个海外晶圆厂,今年台积电先进制程占67%


在代工方面,在台湾新建的新竹Fab 20和高雄Fab 22均为2nm晶圆厂,目前已开始装机,预计2025年量产。


从全球来看,台积电在美国亚利桑那州计划投资650亿美元兴建三座尖端制程晶圆厂。其中,第一座晶圆厂已经开始装机,预计明年量产4nm;2022年底动工的第二座晶圆厂,预计2028年量产3nm;第三座晶圆厂还在规划中,预计2030年之前进入量产。


在日本熊本,台积电计划建设两座晶圆厂,熊本第一座晶圆厂2022年4月动工,预计今年第四季度量产22/28nm和12/16nm制程;熊本二厂预计2027年量产6/7nm制程。在德国台积电将建16nm晶圆厂,预计今年第四季度动工,2027年量产。


围绕先进封装,台积电也在加速扩大CoWoS和SoIC产能。根据规划,到2026年CoWoS生产线的年复合增长率将超过60%,到年底产能将是2023年的4倍。SoIC产能将比2023年增长8倍,年复合增长率高达100%。


多管齐下,台积电拉开了其大胆路线图的帷幕,在先进工艺、先进封装、国际化布局层面勾勒了宏伟蓝图。但其也表示将最关键的开发留在中国台湾。


今年5月,中国台湾新任科技部部长吴正文表示,他相信台积电能够保护其专有的先进技术,并在向国际扩张的同时继续在中国台湾建设其尖端晶圆厂。尽管台积电在全球发展,但其最先进的技术开发仍将在中国台湾得到保障。


台积电:全球工厂复制计划


台积电近期也证实,其海外晶圆厂复制了中国台湾首次采用的技术和工艺配方。


目前业界已充分认识到,大型跨国晶圆厂需具备一套流程来复制其设施。随着Gigafab(超级晶圆厂)规模的扩大,为了保持必要的生产量并避免由于必须重新调整晶圆厂而产生的季度瓶颈,芯片制造商需能够迅速将新的及更新的制造工艺应用到其他设施。


英特尔已因其实施的“精确复制”计划而闻名,该计划允许其全球各地的晶圆厂共享工艺配方,以提升产量并降低性能波动,这成为了英特尔的主要竞争优势之一。


同样,随着台积电在全球范围内不断增设新产能,也开始实施类似的计划,以便尽快提升其在日本和美国新建晶圆厂的产量和效率。


据悉,台积电实施了统一的晶圆厂管理,以确保全球范围内的超级晶圆厂能实现一致的运营效率和生产质量。同时,还在全球业务中致力于可持续发展,这涵盖了绿色制造、全球人才培育、供应链本地化以及履行社会责任。


谈到工艺技术的改进时,主要有两种主要机制:用于提高产量的持续工艺改进(CPI),以及减少性能变化的统计过程控制(SPC)。为此,通过全球Gigafab制造,台积电可以使用CPI和SPC通过在不同站点之间共享知识来提高全球范围内的产量和性能。


台积电或将启动新一轮涨价


近期,多家芯片厂商和晶圆代工厂陆续宣布价格调整。


随着头部客户(英伟达、AMD、英特尔、高通、联发科、苹果及谷歌)陆续导入3 纳米制程,台积电订单已满至2026年。为此,台积电或将启动新一轮涨价谈判。


在6月4日的股东大会上,刚刚全面掌舵台积电的新任董事长魏哲家表示,目前所有的AI半导体全部是由台积电生产。暗示有涨价想法,3nm代工报价涨幅或在5%以上。



需要注意的是,晶圆代工厂的“内卷”已经出现收敛的信号。虽然目前晶圆厂涨价尚未成为既定事实,但各大晶圆厂的产能利用率已经明显提升,不少厂商已出现满产、甚至利用率超100%的情况。


在业内看来,晶圆代工环节稼动率的持续提升以及部分代工厂的满产,未来将带来价格上涨的弹性。


此外,AI热潮极大地推升了CoWoS需求,台积电先进封装产能供不应求也将延续至2025年。据悉,台积电先进封装明年年度报价涨幅在10%-20%。


重重困境下,三星代工如何突围?


在先进制程芯片这场激烈的竞赛中,台积电犹如一位稳健的领跑者,而三星则似乎陷入了重重困境。


尤其是在寻求突破3nm工艺的过程中,三星电子良率和能效问题尤为突出。然而,随着全球无晶圆厂半导体公司和科技巨头纷纷将目光投向3nm工艺,作为主流技术的未来趋势已然明朗。在这一大背景下,台积电凭借其卓越的技术实力,吸引了众多公司的青睐,英伟达、AMD、英特尔、高通、联发科、苹果、谷歌等业界巨头已纷纷决定将订单交付给这位全球最大的代工芯片制造商。这一决策无疑进一步拉大了台积电与三星电子在市场份额上的差距。


尽管三星曾一度在3nm工艺领域占据先机,但现实却颇为残酷。据报道,三星电子代工部门的原型在关键指标上明显落后于台积电,从而使其在这场竞赛中失去了早期的优势地位。谷歌和高通等公司的选择更是对三星的一次沉重打击,它们在经过深思熟虑后,最终决定将订单交给台积电,这无疑让三星的处境雪上加霜。


据TrendForce数据显示,今年第一季度,三星电子的代工市场份额有所下滑,而台积电的市场份额则有所上升。这一趋势预示着未来台积电在半导体代工领域的地位将更加稳固,而三星则需要在技术和市场策略上做出更多努力以追赶领先者。


三星公布未来工艺路线图


日前,三星在2024年三星代工论坛上公布了其芯片制造工艺技术的最新路线图,涉及的重点包括2纳米/1.4纳米工艺,以及将在未来三年内向客户提供具有背面供电技术的路线图。


其中,SF2节点(以前称为SF3P)预计会在2025年推出,主要针对高性能计算和智能手机应用而设计。与3nm工艺(SF3)相比,三星的2nm工艺性能提升12%,功率效率提升25%,面积减少5%。


2026年,三星计划推出SF2P,这是SF2的性能增强版本,其特点是速度更快但密度更低;2027年,三星将发布SF2Z,该产品将采用背面供电技术(BSPDN),从而提高性能并增加晶体管密度。此外,这一改进还旨在提高电源质量和管理压降(IR Drop),以应对先进芯片生产过程中的关键挑战。


三星SF1.4节点(1.4纳米)计划,标志着三星将有望在2027年进入1.4 纳米级别赛道。与SF2Z不同的是,SF1.4将不包括背面电源传输,这使三星有别于英特尔和台积电,后者将在其2nm级和1.6nm级节点上引入背面供电技术。



除了推出高端节点外,三星还发布了SF4U节点,这是4纳米级节点的高性价比变体,通过光学收缩提高了功率、性能和面积,预计将于2025年量产。


三星最新的工艺技术路线图展示了其在2nm及以下节点上的持续创新和发展。随着BSPDN技术的引入和1.4nm节点的推出,三星致力于在半导体制造领域保持领先地位。


在过去一年中,三星代工的AI需求相关销售额增长了80%,预计到2028年,其AI芯片代工客户数量将比2023年增加4倍,代工销售额将比2023年增加9倍。


三星电子正在开发一种集成了封装晶圆代工非内存半导体和HBM的AI解决方案,旨在制造出既高性能又低能耗的AI芯片。与现有技术相比,这一新工艺有望将研发到生产的时间缩短大约20%。


三星电子总裁兼代工业务负责人崔时永博士表示:“在众多技术围绕人工智能不断发展的时代,实现人工智能的关键在于高性能、低功耗的半导体。除了针对AI芯片优化的成熟GAA工艺外,我们还计划推出集成式共封装光学 (CPO) 技术,以实现高速、低功耗的数据处理,为客户提供一站式人工智能解决方案。”



此外,在论坛上,三星还分享了其2027年采用硅光子的计划,这是其首次宣布此类计划。利用光纤在芯片上传输数据,与I/O相比,预计数据传输速度将大幅提高。


三星晶圆厂,又丢客户


正如上文所述,客户陆续决定将订单交给台积电,无疑让三星的处境雪上加霜。


近日又有消息指出,此前曾使用三星代工厂的设计公司Gaonchips作为其生产合作伙伴的DeepX,最近与台积电的设计公司合作伙伴Asicland签署了一项协议,计划使用台积电的先进节点来制造具有神经处理单元(NPU)的SoC。


此外,尽管三星为AMD提供3nm制程服务的传闻已久,但AMD CEO Lisa Su在2024年台北国际电脑展的发布会上强调,公司仍在与台积电合作。


不难看出,抢夺竞争对手的先进制程订单有多么困难。


除了上面提到的3nm客户丢失外,三星的4nm工艺同样在此付出了代价。


本来高通连续两代芯片交给三星代工,但是据说三星的4nm工艺只有35%的良率,这使得产能始终上不去,导致高通不得不把订单转给了台积电,让后者为其代工4nm的骁龙8 Gen 1 Plus芯片。后续从骁龙8+、骁龙8 Gen 2到骁龙7+芯片,高通都已经转向了台积电。


英伟达的RTX 40显卡也放弃了三星,改用台积电的5nm工艺,且未来这些厂商都会继续和台积电合作。


能看到,三星为自己芯片工艺的良率付出了惨痛的教训,后续其工艺制程除了要跟上节奏之外,三星晶圆代工部门还需要全力以赴来提高良率,否则因良率不高导致无人问津的故事或将重演。


英特尔代工,瞄向尖端节点


新一轮AI浪潮引发的算力需求急速膨胀,在将GPU之王英伟达捧上神坛的同时,也让英特尔这位CPU霸主显得有些落寞。


2024年第一季度财报显示,英特尔营收保持增长,但利润却无较大起色。但面对竞争,英特尔仍有冲劲,从其大举押注AI芯片及芯片代工的动作来看,英特尔正在全新AI时代找回失落的王座。


从代工业务来看,英特尔也同样在积极推进其战略目标尖端节点的开发。


英特尔近期宣布,已经开始为客户批量生产intel 3工艺,Intel 3代表了英特尔IDM 2.0战略中的第三个工艺节点,该战略旨在四年内开发五个工艺节点,并将成为第一个针对代工厂制造的先进节点。



Intel 3工艺节点带来的一些重大优势包括更密集的设计库、更大的晶体管驱动电流和更多EUV的使用。该节点还有三种变体,包括3-T、3-E 和 3-PT。前两种变体与Intel 4相比,每瓦性能提升了18%,而PT则带来了额外的性能并且易于使用。所有四种节点变体都支持240nm高性能和210nm高密度库。其中,“T”代表硅通孔 (TSV),这是一种垂直方向的电气连接,可实现芯片元件之间或堆叠芯片之间的高速互连。



在英特尔看来,Intel 3将在未来至少十年内长期支持代工厂客户,从而为汽车和物联网等需要更长生命周期的应用打开大门。Intel 3的生产爬坡不仅仅是一项制造成就,它代表了英特尔代工厂的一个重要里程碑和证明点。


值得一提的是,Intel 3节点是英特尔路线图上的最后一代FinFET节点,因为从下一代开始,英特尔就开始推出了其GAA晶体管RibbonFET。


在5月的财报电话会议上,Intel CEO帕特·基辛格(Pat Gelsinger)指出,公司的第一代GAA RibbonFET工艺,即intel 20A,有望在今年推出;后续产品是intel 18A,预计将于2025年上半年投入生产,并逐步推进至2027年的Intel 10A节点。



英特尔两大利器分别是RibbonFET和PowerVia技术:RibbonFET是英特尔对GAA晶体管的实现,它将成为英特尔自2011年率先推出FinFET以来的首个全新晶体管架构;PowerVia是英特尔独有的、业界首个背面电能传输网络,通过消除晶圆正面供电布线需求来优化信号传输。


在英特尔的规划中,还将率先采用ASML最新的High-NA EUV光刻机,这也是与竞争对手不同的点。英特尔表示,新工具能够大幅提高下一代处理器的分辨率和功能扩展能力,使英特尔代工厂能够在英特尔18A之后继续保持工艺领先地位。


与此同时,英特尔也在持续加大力度,今年2月公布了Intel 14A制程,采用了High-NA EUV技术,预计最快于2026年量产。而最新的14A-E版本则在14A基础上进一步提升了能耗效率。



英特尔强调了与ASML TWINSCAN EXE:5000 High-NA EUV光刻设备结合的重要性,这将为英特尔的制程技术带来新的突破,进一步推动了制程蓝图的实现。


英特尔:力争成为全球第二大代工厂


英特尔的“全新制程技术路线图”证实,其“四年五个制程节点”路线图仍在稳步推进,并将在业内率先提供背面供电解决方案。英特尔预计将于2025年通过Intel 18A制程节点重获制程领先性。


能看到,英特尔正在规划一条新的道路,试图让自己成为晶圆代工市场的重要参与者,与台积电、三星等晶圆代工厂竞争,希望重新获得全球领先芯片制造商的地位。


英特尔的目标是在2030年成为全球第二大的半导体制造工厂。为了实现这一目标,英特尔正在加强执行力,推动面向AI时代的系统级代工,打造前沿并具多元化的制造能力。


此外,英特尔还重点介绍了其在成熟制程节点上的进展,如今年1月份宣布与UMC联合开发的全新12纳米节点。英特尔代工计划每两年推出一个新节点,并一路推出节点的演化版本,通过英特尔领先的制程技术帮助客户不断改进产品。


英特尔代工还宣布将FCBGA 2D+纳入英特尔代工先进系统封装及测试(Intel Foundry ASAT)的技术组合之中,这一组合将包括FCBGA 2D、FCBGA 2D+、EMIB、Foveros和Foveros Direct等技术。


英特尔代工策略&换帅


自从Pat Gelsinger于2021年重返英特尔CEO职位以来,公司便积极调整战略,致力于摆脱传统产品和制造技术难以按计划实现的困境。盖尔辛格领导下的英特尔,以“IDM2.0”为核心战略,持续推动新产品和制造技术的研发与落地。


作为IDM2.0战略的重要组成部分,英特尔推出了IFS(Intel Foundry Service)业务,与传统的制造模式不同,IFS将英特尔的制造设施开放给除Intel产品部门外的其他公司,承接它们设计的半导体产品的制造。这一创新模式不仅拓展了英特尔的业务范围,也为其带来了更多的合作机会和市场空间。


半导体产业被誉为“规模经济”的代表,生产规模对制造效率具有重要影响。当前,诸如TSMC等专注于受托制造高性能半导体的厂商,在规模上已经超越了仅面向Intel制造的Intel制造部门。然而,英特尔通过IFS业务的推出,正积极寻求在规模和效率上的平衡,以实现更大的市场份额和竞争优势。


英特尔通过明确的目标、积极的路线图、创新的IDM2.0战略以及IFS业务的推出,正逐步在半导体产业中展现出其强大的竞争力和领导力。


在一个多月前,英特尔宣布聘请了资深行业人士凯文·奥巴克利担任其代工芯片制造业务的高级副总裁兼总经理,标志着英特尔在代工领域的新一轮战略布局正式启动。奥巴克利在IBM、格芯、Avera Semiconductor以及Marvell等知名企业担任过高级职位

奥巴克利的到来,无疑为英特尔的代工业务注入了新的活力。他将在5月底接替即将退休的斯图·潘恩,成为执行领导团队的一员,直接向CEO帕特·基辛格汇报工作。这一变动,不仅体现了英特尔对于代工业务的重视,也彰显了其对于未来半导体市场发展趋势的深刻洞察。


隐瞒巨额亏损,英特尔面临集体诉讼


据外媒近日披露,英特尔正面临一项集体诉讼,投资人指控其涉隐瞒“英特尔代工服务”部门约70亿美元的巨额亏损。


报道称,投资人指控英特尔在今年1月报告2023年业绩时,没有正确披露制造部门的亏损情况。诉状指控,英特尔夸大其代工服务部门的成长和利润,该部门在2023年实际遭受巨额亏损,产品利润也下降,这使得公司及其代工策略的正面表态具有误导性,涉嫌虚假陈述或隐瞒行为。


图源:Levi & Korsinsky网站


不仅如此,英特尔还将约30%的产能外包给台积电等晶圆代工厂商,这一行为也进一步激怒了投资者。


诉状具体列举了英特尔涉嫌的虚假陈述或隐瞒行为,包括:


英特尔代工服务的成长并不代表内部部门可报告的收入成长;


英特尔代工部门在2023 年出现重大经营亏损;


由于内部收入下降,该部门的产品利润出现下滑;


因此,代工模式不会成为公司整合封装测试(IFS)策略的有力推手;


由于上述原因,被告关于公司业务、营运和前景的积极表态在实质上具有误导性或缺乏合理依据。


此诉讼由Levi & Korsinsky律师事务所发起,该所呼吁英特尔投资者加入针对该公司的集体诉讼。


先进制程之外,


三巨头“火拼”先进封装


从三家代工巨头的路线图来看,先进晶圆代工市场竞争激烈,台积电和三星在先进制程上竞争,英特尔四年五个节点制程开发依计划进行中。


三大晶圆代工巨头动作频频,正在进行新一轮的竞争激战。


数据参考:全球半导体观察


谁将成为下一个晶圆代工业的“王者”或许仍是一个悬而未决的问题,但可以确定的是,持续的创新和技术突破将成为决定性因素。


而另一边,在摩尔定律逐渐放缓的趋势下,仅仅从微缩晶体管,提高密度以提升芯片性能的角度正在失效。对此,先进封装成为后摩尔时代弥补芯片性能和成本的重要解决方案之一。


这也成为了晶圆代工巨头们的新战场。


其中,台积电是全球先进封装技术的领军者,旗下3D Fabric拥有CoWoS、InFO、SoIC等多种先进封装工艺。



CoWoS是台积电最经典的先进封装技术之一。2011年至今,台积电的CoWoS工艺已经迭代至第五代,期间中介层面积、晶体管数量、内存容量不断扩大。英伟达、AMD、博通、Marvell等都是台积电CoWoS工艺的大客户。 



台积电CoWoS结构示意图


自AIGC爆火之后,CoWoS也随之成为行业焦点,行业重量级客户持续对台积电追加CoWoS订单。为应对大客户需求,台积电加快CoWoS先进封装产能扩充脚步。


此外,台积电还开发了廉价版的CoWoS技术,即InFO技术,降低了单位成本和封装高度。这也是InFO技术在移动应用和HPC市场成功的重要原因,为台积电后来能独占苹果A系列处理器打下了关键基础。


除了CoWoS和InFO,2018年,台积电首度对外界公布了创新的系统整合单芯片(SoIC)多芯片3D堆叠技术SoIC,这标志着台积电已具备直接为客户生产3D IC的能力。作为业内第一个高密度3D chiplet堆叠技术,SoIC被看作“3D封装最前沿”技术。


凭借其凸块密度更高,传输速度更快,功耗更低等优势,SoIC或将成为行业未来发展的主要封装技术趋势。当前,台积电也正在积极上调SoIC的产能计划,计划到2024年年底,月产能跃升至5000-6000颗,以应对未来AI和HPC的强劲需求。


可见,台积电凭借其领先的先进封装技术吃尽红利。


当然,英特尔,三星两位强敌在此领域也丝毫不敢懈怠。


英特尔通过多年技术探索,相继推出了EMIB、Foveros和Co-EMIB等多种先进封装技术,在互连密度、功率效率和可扩展性三个方面持续精进。


从英特尔发布的先进封装技术蓝图来看,其计划将传统基板转为更为先进的玻璃材质基板,以实现新的超越;同时英特尔也将在布局硅光模块中的CPO(共封装光学)技术,通过玻璃材质基板设计,利用光学传输的方式增加信号交换时的可用频宽,以优化算力成本。


英特尔先进封装路线图(图源:英特尔官网)


结合英特尔在先进制程上一系列动态,外界预期,英特尔将结合先进制程与先进封装两条线,希冀在晶圆代工领域实现“1加1大于2”的效果。


三星在2.5D/3D先进封装技术领域同样也在积极布局,并已经推出I-Cube、X-Cube等先进封装技术。针对2.5D封装,三星推出的I-Cube技术可以和台积电的CoWoS技术相媲美。针对3D封装,三星在2020年推出X-Cube技术,将硅晶圆或芯片物理堆叠,并通过TSV连接,最大程度上缩短了互联长度,在降低功耗的同时提高传输速率。 


另外,三星计划在2024年量产可处理比普通凸块更多数据的X-Cube封装技术,并预计2026年推出比X-Cube处理更多数据的无凸块型封装技术。拥有从存储器、处理器芯片的设计、制造到先进封装业务组合的优势。

三星先进封装技术路线图(图源:方正证券研究所)


综合来看,先进芯片是工艺的决战,也是先进封装的交锋。先进封装与制程工艺可谓相辅相成,在提高芯片集成度、加强互联、性能优化的过程中扮演了重要角色,是性能持续提升的重要保障。对于在工艺节点时间上的争夺,先进封装已成为三大巨头“不可忽视”变数。


写在最后


Counterpoint数据显示,半导体行业在2024年第一季度已显露出需求复苏的迹象。行业在经过连续几个季度去库存后,渠道库存已经趋于正常化。AI的强劲需求和终端产品需求的复苏将成为2024年晶圆代工行业的主要增长动力。


随着全球经济的逐步复苏和半导体需求的增加,这些确实可能会帮助晶圆代工厂抓住市场机遇,提高产能利用率,并增强与客户的合作关系。


然而,半导体行业是一个高度竞争和快速变化的领域,晶圆厂需要不断创新和调整战略,以适应市场的长期变化。此外,还需要关注全球供应链的稳定性、技术进步以及政策和地缘政治因素的影响。


回到行业格局来看,台积电一家的产能占比纵然超过60%,但仍难以完全维持庞大的先进制程市场。哪怕三星和英特尔的芯片会陷入性能或良率“滑铁卢”的风险,也依旧会有厂商在产能和价格因素的驱动下,愿意去“尝尝螃蟹”。


未来,围绕先进工艺和先进封装的技术之争将在台积电、三星和英特尔之间长期进行,代工三巨头的拉锯战也将成为推动摩尔定力继续前行的动力,推动下一个“弯道”时刻的到来。


(原标题:晶圆代工,战火蔓延)




关键词:芯片制造,半导体市场,人工智能
浏览量:307
来 源:半导体行业观察
编辑:浅浅
声明:凡本网注明"  来源:仪商网"的所有作品,版权均属于仪商网,未经本网授权不得转载、摘编使用。
经本网授权使用,并注明"来源:仪商网"。违反上述声明者,本网将追究其相关法律责任。
本网转载并注明自其它来源的作品,归原版权所有人所有。目的在于传递更多信息,并不代表本网赞同其观点或证实其内容的真实性,不承担此类作品侵权行为的直接责任及连带责任。如有作品的内容、版权以及其它问题的,请在作品发表之日起一周内与本网联系,否则视为放弃相关权利。
本网转载自其它媒体或授权刊载,如有作品内容、版权以及其它问题的,请联系我们。相关合作、投稿、转载授权等事宜,请联系本网。
QQ:2268148259、3050252122。
展开全文